PinTu 电子设计自动化软件

PinTu电子设计自动化(EDA)软件,是国际上最早的面向BBD型自定时型异步电路的EDA工具链。此工具提供了丰富的异步微流水线模版和全异步算子模块,使用者采用Verilog HDL并结合模版可设计出RTL级异步电路。此工具针对异步设计进行综合、延迟匹配与时序验证和仿真功能,完成从RTL级到门级工艺网表的综合,提供面向FPGA的布图和比特流生成功能,以及商用EDA的接口。

PinTu目录结构:

.PinTu
├── readme.txt
├── doc
│   ├── Future Architecture_何安平.jpg
│   ├── PinTu_envConfig_温立_魏杰_康佳和_何安平.pdf
│   ├── PinTu_左翌_温立_魏杰_郁严贵_何安平.pdf
│   ├── v3_xdl文件生成_郁严贵_何安平.pdf
│   ├── 基于Xilinx FPGA的异步设计工具链研究_左翌.pdf
│   └── 介绍视频_郁严贵_何安平.mp4
├── hammer
│   ├── blifpretreat
│   │   ├── counter_new_auto.blif
│   │   ├── counter_new.blif
│   │   ├── counter_new.buf_tab
│   │   └── src
│   ├── edif2blif.patch
│   ├── edifpretreat
│   │   ├── counter.edif
│   │   ├── counter_new.edif
│   │   ├── src
│   │   └── tab
│   └── tabgenerate
│       ├── counter_new.buf_tab
│       ├── counter_new.edif
│       ├── counter_new.tab
│       └── src
├── iron
├── planner
│   ├── vtr.patch
│   └── vtr.sh
├── timer
├── weaver
│   ├── rapidSmith.patch
│   └── rapidSmith.sh
└── welder
  • doc: 关于整个项目的介绍、使用视频以及未来计划
  • hammer: 从edif格式文件到xdl文件的生成过程
  • planner:vtr的patch
  • weaver:rapidSmith的patch

PinTu项目结构

FPGA上PinTu操作流程

© 版权声明
THE END
喜欢就支持一下吧
点赞866 分享
评论 抢沙发
头像
欢迎您留下宝贵的见解!
提交
头像

昵称

取消
昵称表情代码图片

    暂无评论内容