搜索[index],共找到360个文章
Rapidform-卡核

Rapidform

制造商:收起 Rapidform XOV三维检测软件·CAD和部件或部件和部件间的误差分析三维扫描几乎任何部件,并在短时间内生成颜色图误差分析t把部件和CAD模型或其他扫描进行比较距离,角度,半径,位...
Polliwog PollEx PCB-卡核

Polliwog PollEx PCB

制造商:收起 Polliwog PollEx PCB相关常见问题中虽然PCB设计工具主要保留给PCB设计工程师,但是其他工程学科也需要在整个PCB设计和制造过程中访问设计数据,以审查和分析设计。PollEx PCB允许...
Polliwog PollEx PCB Thermal-卡核

Polliwog PollEx PCB Thermal

制造商:收起 Polliwog  PollEx PCB Thermal相关常见问题PollEx Thermal是一种用于分析PCB设计的快速,精确,易于使用的有限元热量验证程序。它允许用户在设计阶段检测和纠正热问题,...
Polliwog PollEx PCB DFE-卡核

Polliwog PollEx PCB DFE

制造商:收起 Polliwog PollEx PCB DFE相关常见问题中PollEx DFE是基于规则的电气工程师PCB验证软件。它由预处理器,运行核心和后处理器组成。预处理器用于设置输入参数。用户可以定义规则...
Polliwog PollEx PCB DFA-卡核

Polliwog PollEx PCB DFA

制造商:收起 Polliwog PollEx PCB DFA相关常见问题中PollEx DFA是用于制造工程师的基于规则的完整3D验证软件。 使用PollEx PCB和UPMS(统一零件库管理系统)作为其基础PollEx DFA支持各种ECAD...
Polliwog PollEx PCB DFM-卡核

Polliwog PollEx PCB DFM

制造商:收起 Polliwog PollEx PCB DFM相关 FAQ PollEx DFM是针对制造工程师设计的基于规则的PCB验证软件。使用PollEx PCB作为其基础PollEx DFM支持各种ECAD格式的PCB设计数据。它允许用户...
Polliwog PollEx PCB Signal Integrity-卡核

Polliwog PollEx PCB Signal Integrity

制造商:收起 Polliwog PollEx PCB Signal Integrity相关常见问题PollEx SI (Signal Integrity)是一个快速,准确,易于使用的信号完整性验证程序,用于分析PCB设计。它允许用户在设计阶段...
Polliwog Corporation PollEx CAM-卡核

Polliwog Corporation PollEx CAM

制造商:收起 PollEx CAM相关常见问题,PollEx CAM是用于274D和274X格式Gerber文件的查看器。 用户可以自动加载274D格式Gerber文件的光圈文件。 PollEx CAM的强大功能包括容易的拖放控制层和检...
Polliwog Corporation PollEx Logic-卡核

Polliwog Corporation PollEx Logic

制造商:收起  Polliwog Corporation PollEx Logic相关常见问题,PollEx Logic是一个原理图设计查看器,它从各种原理图设计工具中读取设计数据。 它在单个设计表中显示原理图对象,逻辑符号...
Easy-PC-卡核

Easy-PC

制造商:收起 PCB设计软件专家优点:使用方便Easy-PC中设计的所有内容都易于使用。在大多数情况下,主菜单很少使用,在所有上下文中都使用快捷菜单。自己试试看!全球技术文件快速启动使用全球...
Polliwog Corporation PollEx BOM-卡核

Polliwog Corporation PollEx BOM

制造商:收起 PollEx BOM相关FAQ,在阅读物理设计数据后PollEx BOM可以生成ASCII BOM文件或表驱动的MS-Excel格式的BOM文件。 Wise标记在PollEx BOM中可以轻松地以ASCII无格式BOM文件导入BOM数据...
3D Slash-卡核

3D Slash

制造商:收起 独特的界面: 像建筑游戏一样有趣! 易于上手 高级功能 ,精确工作 为学校设计的团队功能 获奖,快速技术 在线和离线, 同步应用程序 独特的界面:像建筑游戏一样有趣! 让非设计...
软服之家的头像-卡核软服之家1年前
092684163